Manufaturação industrial
Internet das coisas industrial | Materiais industriais | Manutenção e reparo de equipamentos | Programação industrial |
home  MfgRobots >> Manufaturação industrial >  >> Industrial programming >> Verilog

Funções matemáticas Verilog


As funções matemáticas Verilog podem ser usadas no lugar de expressões constantes e suportam integer e real Matemáticas.

Funções matemáticas inteiras


A função $clog2 retorna o teto de log2 do argumento dado. Isso normalmente é usado para calcular a largura mínima necessária para endereçar uma memória de determinado tamanho.

Por exemplo, se o projeto tem 7 somadores paralelos, então o número mínimo de bits necessários para representar todos os 7 somadores é $clog2 de 7 que dá 3.
  
  
module des 
  #(parameter NUM_UNITS = 7) 
  
  // Use of this system function helps to reduce the 
  // number of input wires to this module
  (input [$clog2(NUM_UNITS)-1:0] active_unit);
  
  initial 
    $monitor("active_unit = %d", active_unit);
endmodule

`define NUM_UNITS 5

module tb;
  integer i;
  reg [`NUM_UNITS-1:0] 	active_unit;
  
  des #(.NUM_UNITS(`NUM_UNITS)) u0(active_unit);
  
  initial begin
    active_unit     = 1;     
	#10 active_unit = 7;
    #10 active_unit = 8;    
  end
endmodule

  

Observe que o sinal active_unit possui 3 bits para armazenar um total de 5 unidades.
Registro de simulação
xcelium> run
active_unit = 001
active_unit = 111
active_unit = 000
xmsim: *W,RNQUIE: Simulation is complete.

Funções matemáticas reais


Estas funções do sistema aceitam real argumentos e retornar um real número.
Função Descrição
$ln(x) Log do logaritmo natural(x)
$log10(x) Logaritmo decimal10(x)
exp(x) Exponencial de x (e x ) onde e=2,718281828...
sqrt(x) Raiz quadrada de x
$pow(x, y) x y
$floor(x) Andar x
$ceil(x) Teto x
$sin(x) Seno de x onde x está em radianos
$cos(x) Cosseno de x onde x está em radianos
$tan(x) Tangente de x onde x está em radianos
$asin(x) Arco-seno de x
$acos(x) Arco-Cosseno de x
$atan(x) Arc-tangente de x
$atan2(x, y) Arc-tangente de x/y
$hypot(x, y) Hipotenusa de x e y:sqrt(x x + s s )
$sinh(x) Seno hiperbólico de x
$cosh(x) Hiperbólico-Cosseno de x
$tanh(x) Tangente hiperbólica de x
$asinh(x) Seno hiperbólico de arco de x
$acosh(x) Arc-hiperbólico cosseno de x
$atanh(x) Arc-tangente hiperbólica de x
  
  

module tb;
  real x, y;
  
  initial begin
    x = 10000;
    $display("$log10(%0.3f) = %0.3f", x, $log10(x));
    
    x = 1;
    $display("$ln(%0.3f) = %0.3f", x, $ln(x));
    
    x = 2;
    $display("$exp(%0.3f) = %0.3f", x, $exp(x));
    
    x = 25;
    $display("$sqrt(%0.3f) = %0.3f", x, $sqrt(x));
    
    x = 5;
    y = 3;
    $display("$pow(%0.3f, %0.3f) = %0.3f", x, y, $pow(x, y));
    
    x = 2.7813;
    $display("$floor(%0.3f) = %0.3f", x, $floor(x));
    
    x = 7.1111;
    $display("$ceil(%0.3f) = %0.3f", x, $ceil(x));
    
    x = 30 * (22.0/7.0) / 180;   // convert 30 degrees to radians
    $display("$sin(%0.3f) = %0.3f", x, $sin(x));
    
    x = 90 * (22.0/7.0) / 180;
    $display("$cos(%0.3f) = %0.3f", x, $cos(x));
    
    x = 45 * (22.0/7.0) / 180;
    $display("$tan(%0.3f) = %0.3f", x, $tan(x));
    
    x = 0.5;
    $display("$asin(%0.3f) = %0.3f rad, %0.3f deg", x, $asin(x), $asin(x) * 7.0/22.0 * 180);
    
    x = 0;
    $display("$acos(%0.3f) = %0.3f rad, %0.3f deg", x, $acos(x), $acos(x) * 7.0/22.0 * 180);
    
    x = 1;
    $display("$atan(%0.3f) = %0.3f rad, %f deg", x, $atan(x), $atan(x) * 7.0/22.0 * 180);    
  end
endmodule


  
Registro de simulação
xcelium> run
$log10(10000.000) = 4.000
$ln(1.000) = 0.000
$exp(2.000) = 7.389
$sqrt(25.000) = 5.000
$pow(5.000, 3.000) = 125.000
$floor(2.781) = 2.000
$ceil(7.111) = 8.000
$sin(0.524) = 0.500
$cos(1.571) = -0.001
$tan(0.786) = 1.001
$asin(0.500) = 0.524 rad, 29.988 deg
$acos(0.000) = 1.571 rad, 89.964 deg
$atan(1.000) = 0.785 rad, 44.981895 deg
xmsim: *W,RNQUIE: Simulation is complete.


Verilog

  1. Tutorial Verilog
  2. Concatenação Verilog
  3. Atribuições Verilog
  4. Verilog Blocking &Non-Blocking
  5. Funções Verilog
  6. Tarefa Verilog
  7. Gerador de Relógio Verilog
  8. Formato de hora Verilog
  9. Escopo da escala de tempo da Verilog
  10. Operações de E/S de arquivo Verilog